Prosesor Panther Lake siap dilihat.-Dicemotion.com

Dicemotion.com-



Jakarta

Ternyata di balik berbagai permasalahan yang dihadapi Intel, masih ada kabar baik dari perusahaan pembuat prosesor tersebut.

Kabar baiknya adalah prosesor generasi berikutnya, yang dijuluki Panther Lake dan Clearwater Forest, dibuat dengan node prosesor 18A. Menurut Intel SVP dan GM Foundry Services Kevin O'Buckley, prosesor Panther Lake telah berhasil diuji dan dimasukkan ke dalam sistem operasi.

Dengan berhasil mem-boot sistem operasi tanpa konfigurasi atau modifikasi tambahan, Panther Lake dan Clearwater Forest dengan jelas menunjukkan kesehatan Intel 18A – teknologi pemrosesan terdepan Intel. Pada tahun 2025, Intel diperkirakan akan kembali ke posisi kepemimpinan dalam teknologi pemrosesan.

iklan

Gulir untuk melanjutkan konten.

Selain itu, kinerja memori DDR Panther Lake dapat bekerja pada frekuensi yang ditargetkan. Sementara itu, Clearwater Forest, unggulan dari chip CPU dan AI yang akan datang, menggabungkan RibbonFET, PowerVia, dan Foveros Direct 3D untuk kekuatan dan manajemen daya maksimum.

Clearwater Forest adalah produk utama untuk teknologi base-die Intel 3-T. Dengan menggunakan proses manufaktur Intel Foundry, kedua produk ini diharapkan dapat memberikan peningkatan signifikan dalam hal watt, kepadatan transistor, dan pemanfaatan sel.

“Kami memelopori teknologi sistem pengecoran untuk era AI dan menghadirkan serangkaian inovasi lengkap yang akan bermanfaat bagi pelanggan produk dan infrastruktur Intel generasi berikutnya. Kami terdorong oleh kemajuan kami dan bekerja sama dengan pelanggan untuk menghadirkan Intel 18A ke pasar pada tahun 2025,” kata O'Buckley, ujarnya dalam keterangan yang diterima detikINET.

Pada bulan Juli, Intel merilis 18A Process Design Kit (PDK) 1.0, sebuah alat desain yang memungkinkan pelanggan pengecoran memanfaatkan kemampuan arsitektur transistor serba gerbang RibbonFET dan catu daya backplane PowerVia pada Intel 18A. Mitra Electronic Design Automation (EDA) dan Intellectual Property (IP) meningkatkan penawaran mereka untuk membantu pelanggan memulai desain produk akhir mereka.

Pencapaian ini menandai pertama kalinya Intel Foundry berhasil menerapkan transistor serba gerbang RibbonFET dan teknologi daya bagian belakang PowerVia untuk pelanggan pengecoran. Dalam ekosistem EDA dan alat IP serta alur proses, RibbonFET dan PowerVia adalah pengembangan baru yang dihadirkan ke semua pelanggan oleh Intel Foundry melalui Intel 18A.

Mitra Intel memiliki akses ke Intel 18A PDK 1.0 bulan lalu, dan mitra EDA dan IP memperbarui alur peralatan dan desain mereka sehingga pelanggan pengecoran eksternal dapat mulai merancang chip Intel 18A. Ini merupakan tonggak penting bagi bisnis Intel Foundry.

“Kemitraan strategis Cadence dengan Intel Foundry telah membantu mempercepat inovasi bagi pelanggan bersama kami dengan memberikan akses ke solusi EDA terdepan di industri dan IP yang dioptimalkan untuk Intel 18A,” kata Tom Beckley, manajer umum Custom IC & PCB Group di Cadence.

(asj/asj)

Sumber link

Posting Komentar

Lebih baru Lebih lama